2008年7月19日 星期六

新思科技與中芯國際攜手推出增強型90納米參考流程,以降低集成電路的設計和測試成本

更新日期:2008/02/2621:09 最新推出的設計流程簡化了低功耗片上繫統(SOC)的開發和測試過程 加州芒廷維尤和上海2月26日電/新華美通/--為全球半導體設計和制造提供軟件和知識產權的主導企業新思科技(Nasdaq:SNPS)和世界領先的集設計成電路芯片代工設計公司公司之一,中芯國際集成電路制造有限公司(“SMIC”,紐約證券交易所:SMI,香港聯合交易所:0981)今日宣布,共同推出一個支持層次化設計及多電壓設計的增強型90納CIS設計米RTL-to-GDSII參考設計流程。該流程受益於當前最先進的邏輯綜合、可測性設計(DFT)和可制造性設計(DFM)技術,其平面設計主要特性包括:DesignCompilerTMUltra產品的拓撲綜合(topographicalsynthesis)技術、DFTMAX產品的掃描壓縮技術以及ICCompiler布局與布線(place-and-route)產品的關鍵區包裝設計域分析(CriticalAreaAnalysis)技術。這些技術的完美融合有助於降低片上繫統(SoCs)的實施和測設計試成本。 (Logo:http://www.xprn.com/xprn/sa/200611101605.jpg) 中芯國際設計服務資深院士PaulOuyang表示:“為了增強我們的90納米參考流設計程,我們與新思科技進行了緊密合作。最新的設計迭代過程建立在上述流程的低功耗、DFT和DFM特性的基礎之上。新的流程可以減少綜合迭代次品牌設計數並降低測試成本,讓我們的客戶能夠大幅度降低成本和設計風險。” 增強網頁設計型參考設計流程3.2版以中芯國際的90納米工藝和新思科技的Pilot設計環境為基礎,目前已使用專為中芯國際90納米工藝開發的ARM(R)低功耗設計套件在新思科技的Galaxy(TM)設計平台上進行了驗證。該參考流程採設計用了DesignCompilerUltra的拓撲綜合(topographica設計公司lsynthesis)技術,該技術在綜合階段就可以精確預測布局後的時序、功耗和面積搬家,從而減少邏輯綜合和布局之間的迭代設計時間。用於低功耗設計的高級功能包括電平轉換器(Levelshifter)和隔離單元(IsolationCell)的插入和布局優化、多電壓區域的創建、多電源網絡的自動綜合以搬家公司及理解多電壓區域的時鐘樹綜合。為減少靜態漏電,該設計流程採用了電源閘白蟻控(PowerGating)技術,可關閉處於工作狀態的芯片區域的電源。DFTMAX則用以生成掃描壓縮電路,通過減少生產測試所需的數據量和時間來充分降低測試成本。該工具還減少了跨電壓域的掃描鏈交友連接的數量,從而縮減了電位轉換器(LevelShifter)或隔離單元(IsolationCell)的數量來減少D大陸新娘FT對芯片面積的影響。 該參考流程還採用了ICCompiler中的關鍵區域分析(CAA)技術來確定隨機顆粒缺陷對成品率的影響。通過採用CAA,設計人員可以識別出成品率損失較大的電路結構,關鍵字並在生產前採取糾正措施。該流程中的其它DFM功能包括連線過孔的優化以及插入填充去耦單元(fillercellandfillercap)。 新思科技戰略市場發展副總裁RichGoldman表示:“與中芯國際的長期合作使我們能夠通過增強參考流程滿足客戶對DFT、DFM和功率管理的不斷變化的需求。與中芯國際的共同努力使我們能夠向我們共同的客戶提供滿足他們所需的先進工具和技術,從而實現首次即成功的芯片設計。” 供貨情況 參考設計流程3.2版現已推出。如需了解更多信息,請聯繫您的中芯國際客戶經理,或發送電子郵件至:Design_Services@smics.com。 中芯簡介 中芯國際集成電路制造有限公司(“中芯國際”,紐約證交所股票代碼:SMI,香港聯合交易所股票代碼:981)總部位於中國上海,是世界領先的集成電路芯片代工企業之一,也是中國內地規模最大、技術最先進的集成電路芯片制造企業。中芯國際向全球客戶提供0.35微米到65納米及更先進的芯片代工服務。中芯國際在上海建有三座8芯片廠和一座12芯片廠。北京建有兩座12芯片廠,在天津建有一座8芯片廠。中芯國際還在美國、意大利、日本提供客戶服務和設立營銷辦事處,同時在香港設立了代表處。此外,中芯在成都建有封裝測試廠以及有一座代為經營管理的8芯片廠,在武漢有一座代為經營管理的先進的12芯片廠。詳細信息請參考中芯國際網站http://www.smics.com。 新思科技簡介 新思科技(Nasdaq:SNPS)是為全球集成電路設計提供電子設計自動化(EDA)軟件工具的主導企業。公司為全球電子市場提供技術領先的繫統和半導體設計與驗證平台、集成電路制造和生產優化解決方案以及半導體知識產權和設計服務。這些解決方案有助於開發和生產覆雜的集成電路和電子繫統。通過這些全面的解決方案,新思科技可以幫助設計人員和生產商應對包括電源管理在內的各項重大挑戰,縮短從設計到成品的時間以及從繫統到芯片(system-to-silicon)的驗證時間。新思科技的總部設在美國加利福尼亞州芒廷維尤市(MountainView),公司在北美、歐洲、日本和亞洲設有60多個辦事處。詳情請訪問新思科技的網站:http://www.synopsys.com/

沒有留言: